zedboard hello_world on terminal Step 2 Create a new project Step 3 Launch SDK Step 4 Write a hello world program Step 5 PuTTY Reference



Step 2 Create a new project

Project Name -> RTL Project -> Next -> Choose “Boards / ZedBoard Zynq Evaluation and Development Kit” -> Finish

Create block design

Add ZYNQ7 Processing System IP

Run Block Automation

PS-PL Configurations > Gneral > Enable Clock Resets > FCLK_RESET0_N (uncheck)

PS-PL Configurations > AXI Non Secure Enablement > GP Master AXI Interface > M AXI GP0 Interface (uncheck)

Peripheral I/O Pins only UART 1 is checked

Clock Configuration > PL Fabric Clocks > FCLK_CLK0 (uncheck)


Validate Design -> Create HDL wrapper -> Run Implementation



Step 3 Launch SDK

File > Export > Export Hardware

File > Launch SDK


Step 4 Write a hello world program

File > New > Application Project > project name : Hello_world > Next > Empty Application > Finish


Add source file : hello.c


Edit hello.c -> ctrl + s

1
2
3
4
5
6
7
8


int () {

xil_printf("Hello World!rn");

return 0;
}


Step 5 PuTTY

Install PuTTY -> ZedBoard connect UART port and PROG port -> set PuTTY

SDK,Run > Run Configuration


Reference

Xilinx ZedBoard

FPGA Design Lab3-1